ayuda con regitros serie paralelo en vhdl

hola colegas, estoy solicitando de nuevo su ayuda.
Necesito hacer en vhdl un registro de 4 bit con entrada serie- salida paralela, y estas salidas deben ir a una and, cosa que cuando sean todas '1' obtenga salida de la and. Alguna idea.
Les adjunto el circuito entero que tengo que describir en vhdl y el circuito del cual les conte anteriormente (que esta incluido en el ciruito total, pero de otra forma para que me puedan entender lo que necesito)
 
Perdon, segun yo los subi en adjuntos. Veamos ahora como sale


registroh.png


este es el link: http://img6.imageshack.us/i/registro1.png/

no lo puedo subir directamente en el mensaje, no se porque. Mil disculpas

Aca les dejo el circuito completo:
http://img52.imageshack.us/i/alarmak.png/
 
Última edición:
Atrás
Arriba