lcd en vhdl usando fpga

que tal, como estan?

tengo un problema. necesito hacer un programa en vhdl para que una lcd (externa a la fpga), despliegue palabras de 4 letras segun diferentes entradas. El problema es que no se por donde empezar, he encontrado varios codigos en la red pero no entiendo como funcionan.

mis preguntas son:

que es inicializar el lcd?
que tiene que hacer un programa para controlar el lcd?
es necesario que proteja mi fpga al conectarla al lcd?

se que para muchos esto es muy simple pero la verdad soy basatante nuevo en esto

gracias
 
Iniciar el LCD es enviarle una serie de comandos o caracteres para seleccionar el modo de trabajo adecuado. Luego de esto está listo para recibir los datos.

http://www.ucontrol.com.ar/wiki/index.php?title=Usando_LCDs

El programa es lo que vos necesitas. Que palabras vas a enviar, etc.. Esto nadie lo sabe mejor que vos. Para FPGA se utilizan lenguajes como VHDL, Verilog, etc. Te recomiendo VHDL.

Software: Xilinx ISE (Versión 12.2 es una reciente). Y para simular puede usarse otra opción como ModelSim.

Primero lee sobre LCD (Google que hay mucho!). Luego compra un libro sobre VHDL o busca un tutorial en internet. Después vas a tener un poco más clara la idea para comenzar a programar tu aplicación y entender alguna librería que puedas conseguir en la Red.

Saludos!
 
Atrás
Arriba