Multiplexor en VHDL

Buenas:
Estoy un poco perdido en una practica de VHDL y necesito saber como enfocarla
se trata de desarrollar un circuito multiplexor de 4 en 1 multiplexor 4-a-1 con ancho de datos 4
si alguien me puede ayudar seria de agradecer
 

Adjuntos

  • Combinacionales.doc
    95 KB · Visitas: 61
Saludos!! Bastante tarde pero encontré una página que se ve de pelos ... pero no se si pueda colocar el enlace ..por las reglas de foro.
 
Niños, niños...

Código:
library IEEE;
use IEEE.std_logic_1164.all;

entity selectorHDL is
    port (
        SEL: in STD_LOGIC_VECTOR (1 downto 0);
        IN0: in STD_LOGIC_VECTOR (3 downto 0);
        IN1: in STD_LOGIC_VECTOR (3 downto 0);
        IN2: in STD_LOGIC_VECTOR (3 downto 0);
        IN3: in STD_LOGIC_VECTOR (3 downto 0);
        OUTS: out STD_LOGIC_VECTOR (3 downto 0)
    );
end selectorHDL;

architecture selectorHDL_arch of selectorHDL is
begin

   with SEL select OUTS <= IN0 when "00",
                           IN1 when "01",
                           IN2 when "10",
                           IN3 when "11",
                           "ZZZZ" when others;

end selectorHDL_arch;
 
Atrás
Arriba