Uso de los FPGA desde cero

Hola a todos, les comento que queria comenzar a utilizar los FPGA, estube leyendo varias cosas de internet pero la verdad que esta bastante complicado y la verdad es que no entiendo bien como hacer el programa en VHDL, la pregunta es si alguien es tan amable de poner un ejemplo de programa bien facil y explicarlo paso a paso de manera de poder arrancar con los FPGA, desde ya muchas gracias y disculpen mi poca capacidad para entender sobre los FPGA! :rolleyes:
 
Atrás
Arriba