Duda sobre la programacion de registro serie paralelo

Hola que tal buenas tardes, lo que pasa tnego este codigo en VHDL registro serie con salida en paralelo pero la verdad no lo entiendo bien, no se si me podrian ayudar a entender lo que hace este codigo de registros, por que se supone que entra un dato y sale por varias lineas a la vez.
Cuando lo programo en la GAL 22V10 y la pruebo en el proto funciona de la siguiente manera:
1.- Coloco uno en la entrada y se prenden los leds de izq a derecha, mientras que si es 0 se apagan de derecha a izq.
Espero que alguien pueda ayudar en este parte, por que creo que este tema pertenece mas a la programacion.
 

Adjuntos

  • library ieee.pdf
    43.7 KB · Visitas: 15
El código VHDL que envió es de un registro de desplazamiento. Si aprende lo que es, va a entender el código. Y la descripción de cómo le funciona es exactamente de cómo funcionaría un registro de desplazamiento. Por eso, no queda mucho por explicar.
 
Atrás
Arriba