Multiplicador secuencial de 4 bits

he estado diseñando un multiplicador de 4 bits con registros de desplazamiento y sumadores, leyendo un libro donde debe predominar una unidad de control ,los bits ya estan cargados en paralelo pero faltan desplzazarlos,se que hay un error pero no encuentro la manera de corregirlo,gracias de antemano
proteus.png
no puedo adjuntar mi simulacion en proteus
 
Atrás
Arriba