Programar motor de pasos en VHDL

para programarlo tengo el programa "Xilin fundation 4" por medio de una targeta FPGA pero como ago para hacer que arranque a cierta velocidad y poder cambiarle esta velocidad por medio de codigo VHDL???
 
Atrás
Arriba