ERROR Desconocido en Contador Johnson

Hola compañeros que tal, recurro a ustedes esperando puedan ayudarme a resolver un problema que tengo con el codigo de un contador johnson, estoy usando Galaxy Warp 6.3 de Cypress

Código:
library ieee;
use ieee.std_logic_1164.all;

entity e_john is
   port(clr, clk, sel: in std_logic;
        reg, car: inout std_logic_vector(7 downto 0)
		);
end e_john;

architecture a_john of e_john is begin
   process(clr,clk) begin
      if(clr = '1') then
	     reg <= "00000000";
	  elsif(CLK'EVENT and clk = '1')then
	     if(sel = '0') then
		    reg <= car;
		 elsif(sel = '1') then
		    reg <= (not reg(0)) & reg(7 downto 1);
		 end if;
	  end if;
   end process;
end a_john;

A la hora de compilar todo ocurre de manera perfecta, pero luego trato de simularlo con Active-VHDL 3.3 y me marca el siguiente error:


Design: 08:18 p.m., Miércoles, 01 de Abril de 2009
Design: Opening design "C:\ESCOM\Cuarto Semestre\Circuitos Logicos 2\ContJohnson\vhd\John\John.adf"
Compilation with file reorder...
File: C:\ESCOM\Cuarto Semestre\Circuitos Logicos 2\ContJohnson\vhd\John.vhd
Compile Entity "e_john"
Compile Architecture "DSMB" of Entity "e_john"
Error: COMP96_0386: John.vhd : (125, 13): Generic types of actuals in component instantiation do not match those in component declaration.
Error: COMP96_0386: John.vhd : (153, 13): Generic types of actuals in component instantiation do not match those in component declaration.
Error: COMP96_0386: John.vhd : (181, 13): Generic types of actuals in component instantiation do not match those in component declaration.
Error: COMP96_0386: John.vhd : (209, 13): Generic types of actuals in component instantiation do not match those in component declaration.
Error: COMP96_0386: John.vhd : (237, 13): Generic types of actuals in component instantiation do not match those in component declaration.
Error: COMP96_0386: John.vhd : (265, 13): Generic types of actuals in component instantiation do not match those in component declaration.
Error: COMP96_0386: John.vhd : (293, 13): Generic types of actuals in component instantiation do not match those in component declaration.
Error: COMP96_0386: John.vhd : (321, 13): Generic types of actuals in component instantiation do not match those in component declaration.
Top-Level unit detected
Entity => e_john
Compile failure 8 Errors 0 Warnings Analysis time : 0.0


realmente lo que hace el programa, o bueno lo que intento que haga es recorrer la secuencia inicial negando el ultimo dato del vector de entrada, pero no se que ocurre aqui. De hecho ya programe mi GAL y efectivamente no funciona de manera correcta ya que aunque le de una secuencia de bits determinada siempre lo pone todo en 0's y luego empieza a hacer la rotacion de bits negandolos. Espero me puedan ayudar y gracias.




SOLUCIONADO



OOps el error lo he resuelto, gracias aunque nadie me ayudo, espero contar con su ayuda en proximas ocasiones. Al parecer reg es una palabra reservada o algo asi en VHDL asi que la sustitui por registro y todo ha marchado a la perfeccion.
 
q tal compañero fijate q en la universidad estoy comenzando a programar en vhdl, he tenido algunos problemas para conseguir el software , me puedes hechar una mano en conseguir dicho software, te lo agradeceria en exeso
 
gracias amigo oye disculpa, por asi decirlo la ignorancia mostrada en esta ultima pregunta, pero supongo que viene en un paquete, cual es el q tengo q descargar...... ops:
 
Atrás
Arriba