ISE de Xilinx

Un saludo a todos,

Sucede que tengo un problema relacionado con el ISE de Xilinx, 9.2.

Estoy realizando unos diseños y necesito implementarlos en una fpga, estoy manejando Altium 09 y para poder programar la fpga debo tener en mi equipo el ISE de Xilinx pero los he conseguido y me sale un error de runtime, usé varios y siempre me sale el mismo error.

A quien sepa cómo puedo arreglar este problema, le quedaría inmensamente agradecido.:)
 
Atrás
Arriba