Multiplexor parametrico en verilog

Hola a todos! Quiero hacer un multiplexor que pueda funcionar para un numero indefinido de entradas y ancho de bits, utilizando los parametros de verilog.

Hice un modulo como el siguiente, donde W es el ancho de banda y N el numero de bits de mi selector (si hay 2 bits en el selector, significa que puedo tener hasta 4 entradas, por ejemplo). Pero no he tenido mucho exito con ello, ya que aun estoy muy nuevo en esto de Verilog y creo que no me estan saliendo los ciclos jaja.

Alguna sugerencia? Creo que una matriz en vez de un array para las entradas seria mas conveniente, pero no se si se pueda hacer
 
Última edición:
Algo asi:


module mux (entradas, selector, salida);
parameter W = 4;
parameter N = 2;
parameter DW = W << N;
input [DW-1:0] entradas;
input [N-1:0] selector;
output [W-1:0] salida
);
 
Interesante! No pense que se pudiera escribir asi, ya lo puse, pero igual yo estaba buscando ideas para el algoritmo que obtenga la salida con un determinado selector.
 
Última edición:
Atrás
Arriba