Problema al simular con Pspice Orcad

Hola a todos!!, soy nuevo en el foro =), mi problemas es que cada vez que modifico el valor de algun elemento a las hora de simular tengo que estarle diciendo que haga la grafica de Vo/Vi, y eso me da mucha flojera, no hay una forma de que el programa sepa que para ese cricuito en particular siempre haga la grafica de la funcin de tranferencia???
Y tmb como quitar las marcas que se ponen en le grafica, pongo algunos puntos pero si me equivoqe y quiero cambiar el punto donde se puso la arca ya no puedo alguien sabe como?


Y con multisim lo mismo cada vez q necesito modificar un valor tengo que entrar a simulacion y luego a analisis de AC y nuevamente si modifico algun valor, alguien qu eme pueda ayudar con esto.
 
Buenas.
Estoy empezando a aprender a usar este programa de simulación electrónica. me hariais un favor si me ayudarais con esta duda :rolleyes:

La cosa es que quiero hacer un análisis de barrido en continua. Creo el archivo de simulación, en "edit simulation profile" selecciono dcsweep, le pongo nombre a la variable (Vi) y le doy valores al valor unicial, final y al incremento, le doy a RunPspice pero me da errores.

Uso la versión completa 16.2

Os dejo con algunas capturas para que os sea más facil ayudarme



 
Hola, necesito un transformador con doble devanado en secundario o en el primario y no encuentro dentro de las librería de Pspice. Alguien conoce la forma de implementarlo ??
 
hola queria ver si alguien me pudiera pasar los datos para editar el modelo spice del CA3146A si lo tienen se los agradeceria mucho mi tesis esta atorada por eso un saludo!! :)
 
Buenas a todos soy nuevo en el foro, pues mi duda es la siguiente estoy estudiando electronica industrial y necesito trabajar con la version 7 de OrCAD (capture, layout y pspice) que es la que tenemos en el laboratorio.
Pero tengo un problema ya que quiero trabajar en mi portatil que es un mac y solo le puedo instalar windows 7... y tengo entendido que esta version solo va bien en xp. Tambien tengo un pc's con vista y xp para hacer pruebas pero que no me puedo llevar a clase.
Alguien me puede hechar una mano?? ideas?
Saludos y gracias de antemano
 
hola a todos, tengo un problema con el orcad 9, al montar el circuito voy a ponerle un amperimetro o un voltimetro y sale un mensaje de error que dice "capture dejo de funcionar", y me cierra el programa.......si alguno de ustedes me puede colaborar con este error, se los agradeceria mucho...
 
Quisiera saber si existe los leds, rele, parlantes para simular?
Pues he leído y pues parece que la de los leds no existe pero se puede crear pero no se como y lo necesito algo urgente cualquier ayuda se agradece, otra cosa que quería saber es que si con la versión del orcad 16.3 se pueden simular cplds pues estuve mirando y las fpga si se pueden simular pero las cpld no encuentro cualquier tipo de documento o consejo o ayuda que me puedan facilitar estar agradecido.
 
Hola buenas. Resulta que he de hacer una simulacion de unos circuitos para la facultad pero necesito conocer la respuesta pasados unos segundos en analisis transitorio y que no me muestre la respuesta del circuito despe el principio. alguien sabe donde donde se configura para quemuestre la simulacion desde el segundo 1 por ejemplo?
 
hola, estoy intentado simular un circuito en orcad,pero la barra de simulacion me sale desconectada en un color grisacio,me gustaria saber como tengo que hacer para activarla y poder simular circuitos.un saludo y gracias de antemano!.
 
El problema era por la compatibilidad de windows 7,habia que cambiar a modo compatibiladad windows xp.
Si alguen tiene este problema ya esta solucionado con este aporte un saludo.
 
Hola
Estoy intentando simular un oscilador en puente de wien con el programa de simulación orcad y no consigo nada... He probado meterle un impulso inicial al condensador conectado a tierra pero aún así: nada de nada. El circuito nunca comienza a oscilar...
Me podéis dar algún consejo sobre que operacional escoger y sobre todo necesito ayuda con la forma de excitar el circuito para que comience su oscilación y luego se mantenga en este estado.
con el diseño del puente no creo que haya fallado pues hay mucha documentación, pero como no consigo nada también os adjunto un esquemático para una frecuencia de 100hz
tengo que conseguir que este oscilador me suministre una onda sinusoidel con una frecuencia variable de 100hz a 1 Mhz
acepto toooooooooooooooooooooodas las sugerencias
 
Soy nuevo aquí y no he encontrado una forma más comoda para subir las simulaciones que un rar. en la primera se ve que oscila pero en valores de milivoltio y con una onda muy fea. en la otra con la señal de impulso solo se refleja la amplificación de esta y luego no hace ná


Dibujo1.jpg
 

Adjuntos

  • WIENforo.rar
    34.1 KB · Visitas: 39
Última edición por un moderador:
Estas tomando mal la pata + del IC

PuenteWein.gif

https://www.forosdeelectronica.com/f23/oscilador-puente-wien-control-automatico-ganancia-25111/

https://www.forosdeelectronica.com/f30/puente-wien-potenciometro-simple-25051/


http://www.virtual.unal.edu.co/cursos/sedes/manizales/4040015/lecciones/Capitulo5/puente.html

Fogonazo dijo:
Estas tomando mal la pata + del IC......

Edit:
Perdón había visto mal el esquema

Intercala un potenciómetro sobre la realimentación negativa para buscar el inicio de oscilación
 
Última edición:
no se como hacer que el potenciómetro varíe su valor durante la simulación, por eso no lo probé antes y ahora que me lo has dicho lo he intentado con más ganas (buscando info y tal) pero aún así no encuentro la forma
 
lo mas facil es indicar las condiciones iniciales a uno de los elementos del circuito. Por ejemplo si seleccionas Cs en el diagrama adjunto, y cambias el parametro IC (Initial Condicion) a un valor de digamos 2V, obtienes la simulacion que esta tambien adjunta.

Para la realimentacion con resistencia variable, lo mas comodo es usar parametros y hacer una simulacion "Parametric Sweep", como esta en uno de los adjuntos.

Saludos
 

Adjuntos

  • osc_sim.jpg
    osc_sim.jpg
    30.3 KB · Visitas: 42
  • oscilador.jpg
    oscilador.jpg
    27.2 KB · Visitas: 45
  • sim_2.jpg
    sim_2.jpg
    35.1 KB · Visitas: 45
  • sim_1.jpg
    sim_1.jpg
    32.2 KB · Visitas: 45
  • cap_set.jpg
    cap_set.jpg
    17 KB · Visitas: 38
Atrás
Arriba