Decodificador con Max+Plus II (Altera)

Hola, cómo andan? Les comento que estoy haciendo una materia optativa en la universidad orientada al manejo de FPGAs. El primer proyecto que se nos ha indicado es la realización de un decodificador de 3x8 en VHDL usando Max+Plus II.
La verdad es que no tengo idea alguna de cómo programar una FPGA, por tanto, les agradecería mucho si me pueden dar una mano con esto.

Lo que hice (con lo poco que se) está en los archivos adjuntos. El pdf contiene un intento de diagrama del interconexionado del Decodificador (donde A, B y C son las entradas y las salidas son S0, S1, ... , S7 que no están graficadas - creo que se entenderá de donde salen); los archivos txt contienen las entidades con sus respectivas arquitecturas que creo que deberían programarse para hacer el decodificador.
Seguramente lo que he hecho tiene errores y no ha de andar, al margen de que puede seguramente ser optimizado. Lo que les pediría es si alguien me puede dar una mano con esto.

A su vez, tengo el problema de no poder simular. Al utilizar linux, corro el Max+Plus II bajo Wine, pero no me permite simular. La versión que baje del software es la orientada a estudiantes en su versión 10.2. Alguna posible solución a esto?

Otro inconveniente que se me presenta es el siguiente: tengo entendido que debo definir los puertos de entrada y los de salida para no tener problemas. Alguien me podría decir como hacer esto?

Lo último que pregunto es, como puedo determinar la cantidad de macroceldas que utilizo y a su vez cual es la frecuencia máxima a la cual puedo operar?

Bueno, son muchas cosas, espero alguien me pueda dar una mano.

Saludos.

P.D: Ah, pregunto todo esto porque los tutoriales de ALTERA son bastante desorganizados y los encuentro incompletos (no se si me pase a mi esto solamente). Alguien podría también recomendarme algún manual o tutorial para programar?
 

Adjuntos

  • Diseño Laboratorio 1.pdf
    103.6 KB · Visitas: 11
  • Decodificador.txt
    684 bytes · Visitas: 9
  • Negadora.txt
    316 bytes · Visitas: 2
  • TripleAnd.txt
    190 bytes · Visitas: 7
Hola Asac,

Estas intentando aprender varias cosas a la vez: VHDL y Max+Plus II, además de la tarjeta de FPGA que tengas.

Así que probablemente estés cometiendo algún error en el VHDL, en el uso del Max+Plus II o en el uso de la tarjeta, o en todos juntos.

Mi recomendación es que empieces por un diseño VHDL más sencillo, por ejemplo, un circuito que encienda un LED. Así te será más fácil saber si lo que te falla es el Max+Plus II.
Cuando consigas encender un LED, luego puedes implementar unas puertas lógicas que enciendan los led según los pulsadores que tengas presionados. Y cuando ya te funcionen, ya sabrás más del programa Max+Plus II y de la tarjeta, y entonces podrás hacer diseños VHDL cada vez más complicados.

Así que mi recomendación es que vayas paso a paso, cuando sepas cómo encender un led, quizá la mitad de las preguntas que has hecho las tengas resueltas.

Saludos
 
Atrás
Arriba