Contruir un divisor de Frecuencia

HOla como les va, tengo todo el dia y todoa la noche averiguando sobre FF's contadores y en este momento el tal divisor de voltaje, me exigen que una salida de mi circuito sea de una frecuencia dividida entre 3, yo no se como es esto, a pesar de que he leido que el contador es un divisor de frecuencia, pero como deberia ser mi salida??? osea como MI PROFESOR, va a evaluarme, porque no entiendo como voy a observar un cambio o división de frecuencia con leds... es decir como seria mi salida después de la división?
 
Hola,
Si a la entrada de un contador ponés una señal, en el bit menos significativo de las salidas del contador tenés una senal que es la de entrada dividida por 2. Si lo ponés en el segundo bit, la senal está dividida por 4, en el tercero por 8 y así sucesivamente.
Si ponés un AND entre el primer y segundo bit del contador (el 3 decimal) a la salida de este AND vas a tener su senal dividida por 3.
 
Oye muchas gracias, esa info es muy valiosa, pero mi pregunta es, como se aprecia eso?? es decir mi profesor me mando a hacer lo siguiente..

Cuando se pulsa INICIO, empiezan a pfresentarse por la PRIMERA SALIDA SERIAL el contenido de las entradas a la frecuencia del reloj, bit a bit. Por la SEGUNDA SALIDA SERIAL, se presentará la misma salida, pero a una frecuencia de reloj dividida entre 3.

Todas las entradas y salidas se muestran por medio de LED´s..


La pregunta es, como se aprecia esta division?, es decir, si la frecuencia es dividida entre 3 no se supone que la velocidad variaria?? ademas como se veria esto en los leds??, osea me refiero los leds van a ser menos brillantes??? (cosa que no creo), o sencillamente mi profesor con ayuda de un osciloscopio va a calcular la frecuencia y verificar que la frecuencia este dividida entre 3???

PD: Fogonazo no lleves esto a la sala de moderacion por haber puesto parte del enunciado de mi proyecto aqui, entoende que estoy perdido en el limbo a pesar de haber pasado la noche leyendo, ademas de eso cuando te digo perdido, quiere decir SOLO, y aparte tengo 7 materias adecionales a esta, te lo pido de verdad no me banees el tema...Saludos
 
Hola,
No sé lo que va a hacer tu profesor, pero supongo que lo verificará con un osciloscopio o una frecuencia baja, digamos 1Hz. La intensidad de los LEDs no varía.
Lo que va a pasar, en el caso de frecuencias bajas, (sería lo mismo para altas) es que si el LED de la frecuencia que entra prende 3 veces, al que está a la salida del divisor prende 1 vez.

Cualquier duda consultame
Saludos
 
Última edición:
Es decir que la frecuencia dividida entre 3 se vera con un titileo de 3 veces???


aqui esta la primera parte del enunciado


Diseñe e implemente un oscilador con el 555 o con compuertas lógicas, con frecuencia menor a 1 hz..
Diseñe e implemente un circuito con F.F´s, contadores y registros de desplazamiento que tenga seis entradas (paralela, es decir 6 bits) y una señal de inicio.

Lo que no entiendo es, el contador va a tener 6 bits de entrada?? osea van a ser 6 flip flops JK?, sin embargo, no puede captar que finalidad tiene dividir una frecuencia entre 3, cual es la idea, y por la respuesta que me diste quiere decir que no es algo q se aprecie a a vista, sino con osciloscopio..cierto?? Saludos hermano y gracias por responder
 
Hola Weelo

Depende de que simulador tengas pero podrías llevarle la tarea ya hecha con todo y osciloscopio y LED’s.
Fíjate: en la imagen llamada Borrrame 6 aparece el circuito con LED’s y el osciloscopio.
En la imagen llamada Borrame 7 aparece la pantalla del osciloscopio con las señales R(referencia) Trazo Rojo.
3(Dividida entre 3) Trazo Azul.

Si tu profesor lo ve por el lado de los LED’s tiene que contar: 1, 2, 3. prende, 1, 2, 3. Apaga. A frecuencia baja por supuesto.
Si tu profesor lo ve por el lado del osciloscopio pues ahí está tambien.

saludos
a sus ordenes
[/COLOR]
PD: ! VALLA ! ya cambiaste todo el enunciado.
 

Adjuntos

  • Borrame 6.jpg
    Borrame 6.jpg
    123.8 KB · Visitas: 56
  • Borrame 7.jpg
    Borrame 7.jpg
    229.6 KB · Visitas: 38
Por QUe sera que aqui hablan en otro idioma jajajajajaja, bueno carlos veo que no soy la excepcion, te gusta ayudar a la gente, ese simulador parece ser el proteus, mira bueno aqui esta el enunciado completo, me gustaria mandartelo en privado pero no PUEDOOOO!!!!, la pagina no me deja, el enuciado es el ultimo que mande y a ese le pegas inmediatamente el primero que mande pues estoy confundido con lo de la famosa frecuencia jejejeje, ademas debe de ser de 6 bits y en el dibujo veo 4, igualmente se te agradese porQUe se ve que lo haces con bastante animo..Saludos

PD: fogonazo recuerdo no banear mi tema porQUe me estan ayudando y ya deje claro que estoy investigando con google..lol, saludos

por cierto la frecuencia debe ser de 1, jejeje

picture.php
 
Última edición por un moderador:
Hola Weelo

Primero:
Tu Primer Enunciado:
HOla como les va, tengo todo el dia y todoa la noche averiguando sobre FF's contadores y en este momento el tal divisor de voltaje, me exigen que una salida de mi circuito sea de una frecuencia dividida entre 3, yo no se como es esto, a pesar de que he leido que el contador es un divisor de frecuencia, pero como deberia ser mi salida??? osea como MI PROFESOR, va a evaluarme, porque no entiendo como voy a observar un cambio o división de frecuencia con leds... es decir como seria mi salida después de la división?
Tu Segundo Enunciado:
Diseñe e implemente un oscilador con el 555 o con compuertas lógicas, con frecuencia menor a 1 hz..
Diseñe e implemente un circuito con F.F´s, contadores y registros de desplazamiento que tenga seis entradas (paralela, es decir 6 bits) y una señal de inicio.

En el primer enunciado ¿dónde? mencionas lo del 555 o lo de registros de desplazamiento o lo de los 6 BIT’s?
Por lo tanto el circuito que adjunte, en LiveWire, no te sirve.
En fin lo de arriba es irrelevante ya que no nos conduce a la solución.

No me puedes enviar el enunciado porque tienes menos de los mensajes requeridos por este sistema para poder efectuar esa operación.
Sin embargo no es necesario enviarlo por ese medio, adjúntalo aquí. Si es muy grande empácalo por medio de WINZIP o WINRAR y adjúntalo.

Yo tambien como Tú estoy confundido pues con:
Un contador a base de Flip-Flop’s de 6 BIT’s
Registros de Desplazamiento con 6 BIT’s de entrada en paralelo.
Cómo se detectaría una división de la frecuencia entre 3?.

Supongo que algo falta en el enunciado pero yo no lo se.

saludos
a sus ordenes
 
ok una pregunat fuera del rema, como adjunto el archivo .rar??? y disculpa la pregunta fuera de lugar...

Aqui esta, jejeje
 

Adjuntos

  • Practica No 3.rar
    4.2 KB · Visitas: 27
Última edición:
Hola Weelo

Ves como faltaba algo del enunciado?

Cuando se pulsa INICIO, empiezan a pfresentarse por la PRIMERA SALIDA SERIAL el contenido de las entradas a la frecuencia del reloj, bit a bit. Por la SEGUNDA SALIDA SERIAL, se presentará la misma salida, pero a una frecuencia de reloj dividida entre 3.
Todas las entradas y salidas se muestran por medio de LED´s..

Ya completo se comprende algo más(Un Poco más):
A- Diseñe e implemente un oscilador con el 555 o con compuertas lógicas, con frecuencia menor a 1 Hz.

B- Diseñe e implemente un circuito con F.F´s, contadores y registros de desplazamiento que tenga seis entradas (paralela, es decir 6 bits) y una señal de inicio.
............................................................!?!?!?!?V supongo debe decir presentarse.
C- Cuando se pulsa INICIO, empiezan a pfresentarse por la PRIMERA SALIDA SERIAL el contenido de las entradas a la frecuencia del reloj, bit a bit.

D- Por la SEGUNDA SALIDA SERIAL, se presentará la misma salida, pero a una frecuencia de reloj dividida entre 3.

E- Todas las entradas y salidas se muestran por medio de LED´s..
---
Cabe aquí una pregunta: los registros de desplazamiento puede ser un IC o deben ser hechos a nivel compuertas lógicas ?.
Se alcanza +/- a vislumbrar(entender) que estos registros de desplazamiento deben ser hechos con Flip-Flip’s pero no se sabe a ciencia cierta si esto es verdad porque dice:
Diseñe e implemente un circuito con F.F´s, contadores y registros de desplazamiento.
Bien: Cómo y con qué se deben realizar estos registros de desplazamiento??.
Por otra parte: estos registros de desplazamiento son del tipo PISO(Entrada paralela, Salida Serie).
Puesto que dice: PRIMERA SALIDA SERIAL o SEGUNDA SALIDA SERIAL.

Perdón por tantas preguntas pero a mí me gusta ser efectivo, lo que recomiende me gusta que desde la primera vez cumpla con todos los requerimientos.
Por lo tanto debo comprender muy bien el objetivo y el con qué lograr ese objetivo.

saludos
a sus ordenes
 
Ni yo mismo se eso, pero lo que si puedo asegurar, es que no he visto ningun tipo de restriccion, asi que se puede hacer con cualquier cosa, pero es dificil pues el enunciado es demasiado enredado, yo llame al profesor y que de peor de como estaba
 
Hola Weelo

Tranquilo, vamos por partes.

A- Diseñe e implemente un oscilador con el 555 o con compuertas lógicas, con frecuencia menor a 1 Hz.
Este paso es el más fácil. Ya lo hiciste ?.

B- Diseñe e implemente un circuito con F.F´s, contadores y registros de desplazamiento que tenga seis entradas (paralela, es decir 6 bits) y una señal de inicio.
Este también es fácil. Ya lo hiciste ?.

C- Cuando se pulsa INICIO, empiezan a presentarse por la PRIMERA SALIDA SERIAL el contenido de las entradas a la frecuencia del reloj, BIT a BIT.
Como supuestamente las entradas son en paralelo(6 BIT’s) y la salida en serie, se puede utilizar un 74LS165.

D- Por la SEGUNDA SALIDA SERIAL, se presentará la misma salida, pero a una frecuencia de reloj dividida entre 3.
Hay 2 salidas seriales según C- y D-, por lo tanto serían 2 IC’s 74LS165.
Pero lo que no se entiende es: “se presentará la misma salida”. Cuál es esta misma salida??.

Suponiendo que se hizo el contador de 6 BIT’s con Flip-Flop’s y se le aplicó la frecuencia del 555.
Las salidas de este contador serían Q32, Q16, Q8, Q4, Q2, Q1. = 6 BIT’s estas salidas irían conectadas a las entradas paralelo del primer 74LS165. Cuando se presione el botón INICIO los datos en las entradas irían saliendo por el PIN 9 en forma serial. Claro aplicando los pulsos del 555 previamente hecho.
Aquí se cumple el paso C-.

Pero el paso D- no es comprensible pues no se sabe a que MISMA SALIDA se refiere.


E- Todas las entradas y salidas se muestran por medio de LED´s..
Absolutamente todas las entradas y salidas??. El 555 tiene entradas y salidas. Los F-F también. Los 74LS165 también. Absolutamente todas las entradas y salidas??.

Nota:
Si hace una semana que te dejaron esta practica estás en problemas. Pero si recién te la dejaron no hay mucho problema.

QUE HAS HECHO TÜ??.

saludos
a sus ordenes
 
Lo del oscilador lo se hacer, lo demas no lo se hacer, maniana voy a comprar unos flips flops tipo JK y tipo D, lo que no entiendo es ese horroroso enunciado, y nisiquiera se que es una entrada serial a pesar de que tengo dos dias estudiando, maniana tengo qUE llamar al profesor, porQUe todos estamos igual en el aula, lo demas no lo se hacer, por eso postei este enunciado en busca de un poco de ayuda para entenderlo y fogonazo no entendio el mensaje y me banio el tema, francamente cuando uno busca ayuda es por algo, y llegue a este foro por casualidad, el deberia ser un poco menos estricto y analizar mejor la situacion en la qUE se encuentre una persona, el trabajo lo mandaron el miercoles a las 4 de la tarde para entregarlo en martes a las 8, por QUe dices qUE tengo problemas? acaso no basta con comprar los materiales y terminarlo de montar??? el problema es que no lo entiendo bien.... y cuando pongo una pregunta viene fogonazo y me bota, Saludos

picture.php

Ok es para el martes pero o dios creo q voy a retirar esta materia, es muy dificil
 
Última edición por un moderador:
Hola Weelo

Calmado, calmado hay tiempo todavía, sábado, domingo y lunes son 72 Horas.

Por otra parte, no sé cuanto tiempo tengas estudiando pero fíjate, en X tiempo no has podido aprender a hacer un contador con Flip-Flops, tampoco lo que es una entrada serial. Ese tiempo X lo has perdido para siempre.
No es necesario responder a esto.
Creo que tampoco sabes hacer un oscilador. Digo por la forma en que redactas tus mensajes.

En el dibujo llamado Borrame 8 está el oscilador de una frecuencia un poco menor a 1 Hz.
Nota el conector llamado Clock. Así como ese hay muchos otros conectores solo que con diferente nombre.

En el dibujo llamado Borrame 9 está el contador Binario Natural (Otra cosa que no viene especificada en el enunciado) hice este binario natural porque el enunciado no dice si debe ser así o contador binario Decimal.
Nota el conector llamado Q3... por ahí sale la frecuencia del Clock dividida entre 3. como te dijo kuropatula.
Hay otros conectores con otros nombres, fíjate en sus nombres. Apréndetelos.

En el dibujo llamado Borrame A está el 74LS165 el cual es un registro de corrimiento.
Nota como las salidas del contador Binario natural llegan a las entradas desde A hasta F (6 BIT’s)
Claro por los conectores que se llaman del mismo nombre que en aquel contador.
Qué es lo que hace este IC 74LS165??. Fácil: lo que tenga en sus entradas de la A hasta la F pasarán a sus registros internos después de aplicar un pulso a su entrada llamada (En este caso) LD PIN 1.
Para que salgan por su Q, PIN 9, hay que aplicarle pulsos en su entrada Clock, PIN 2.
Hay que aplicarle 8 pulsos para que todo lo que tengan sus registros internos salgan por su Q. Saldrán en serie.
Si le aplicamos mas de 8 pulsos se perderán tantos BIT’s como pulsos de más le apliquemos.
Para contar esos 8 pulsos se utiliza el contador 74LS191 y la compuerta OR.
Nota que a la compuerta OR le llega nuestro famoso conector llamado Clock... también tiene otro conector llamado Q8 el cual llega del contador 74LS191.
Cuando presionas el botón llamado Inicio suceden 2 cosas:
1- Se restablece a ceros el contador 74LS191.
2- Los datos que hay, en el instante que se presiono el botón, en las entradas del 74LS185 pasarán a sus registros internos.
Como a la compuerta OR tiene en una entrada, donde está el conector Q8, nivel bajo por el conector Clock le llegan pulsos lo cual hará que el contenido de sus registros internos se desplacen y salgan por su Q.
Cuando llegue a 8 pulsos Q8 se hará nivel alto con lo cual los pulsos Clock ya no pasarán por la compuerta OR.
Con esto se cumple con el paso C- del enunciado.

Lo difícil es, creo, el paso D- del enunciado. Este Dice:
Por la SEGUNDA SALIDA SERIAL, se presentará la misma salida, pero a una frecuencia de reloj dividida entre 3.
Quiere decir que hay otra SALIDA SERIAL.

Y donde dice: “se presentará la misma salida”. Voy a suponer que se refiere a las salidas del contador de la imagen llamada Borrame 9.

Y donde dice: “pero a una frecuencia de reloj dividida entre 3.” Voy a suponer que es nuestra señal Q3. La recuerdas??. Como te dijo kuropatula.

Las salidas del contador binario natural representado en la imagen Borrame 9 se aplican a otro circuito similar al de la imagen llamada Borrame A.
Esta imagen similar es llamada Borrame B.
Funciona igual que la otra pero ahora el Clock será Q3.
Probablemente cumpla con lo establecido en el paso D- del enunciado.
.-.-.-.-.-.-.-.-.

Hay otros 2 IC’s, 74LS164, estos hacen, digamos, lo contrario del 74LS165, entran los datos en seria y salen en paralelo.

Espero que esto te sirva para continuar con tu proyecto.
No hay que leerlo, hay que estudiarlo.

saludos
a sus ordenes
 

Adjuntos

  • Borrame 8.jpg
    Borrame 8.jpg
    93.1 KB · Visitas: 33
  • Borrame 9.jpg
    Borrame 9.jpg
    155.9 KB · Visitas: 30
  • Borrame A.jpg
    Borrame A.jpg
    179.8 KB · Visitas: 33
  • Borrame B.jpg
    Borrame B.jpg
    175.6 KB · Visitas: 32
Bueno la verdad es que lo que dices es un enrredo, y lo tratare de analizar mas tarde. Ahorita mas tarde voy a comprar las pastillas, yo supongo que esa "MISMA SALIDA" es la misma salida serial de la PRIMERA, seguro que eso, realmente es lo unico que se me ocurre, y el profesor no me contesta el telefono, que fastidio, bueno le voy a dedicar otro rato a mis demas materias orque estoy FUULLLL y esta materia ya me estan dando ganas de abandonarla porque si todas la semanas voy a estar en este estres, entonces me van a quedar todas las materias... Saludos
 
Eso esta facil chico... Yo creo que claudia ya lo hizo...

Revisa en tu cuaderno de Diseño Logico que ahi aparece todo eso de Registro de Desplazamiento y Contadores. A mi me lo dieron en esa materia.

Nota: No llames tanto a Dalvin que por eso es que no te contesta. :LOL:
 
Uhmm mira men (Weelo) a mi me parece que para estar viendo una materia como laboratorio de digitales, tu presentas muchas fallas y dudo que todos en tu salon esten tan mal como tu... deberias reconsiderar volver a estudiar la teoria ya que si no entiendes para que sirve cada cosa no podras hacer nada ya que no lo vas a entender
 
Última edición:
En la imagen borrame 2 deje tres gráficas..

La primera seria el reloj de entrada, la segunda seria la frecuencia dividida entre 3.

Si dividimos la frecuencia entre 3 y deseamos que el pulso alto sea igual al pulso bajo entonces ambos durarian un ciclo y medio (Grafica 2)... De verdad que una solucion asi la veo sumamente dificil con solo compuertas, incluso me parece imposible. Esta conclucion da lugar a la grafica 3, la cual es una solucion bastante cercana a lo que se quiere, en ella el pulso alto dura 1 ciclo de reloj y el bajo 2 ciclos.


En linea con lo anterior, describiré como realizar un circuito para obtener la solución de la gráfica 3.

Primero que nada utilizaremos 3 estados por lo que se puede deducir que necesitamos 2 flipflop.

Llamese Q a las salidas de los ff.

Estado l Q1 Q0 l Salida
A l 0 0 l 1
B l 0 1 l 0
C l 1 0 l 0


Haciendo un Mapa K de salida tenemos
0 1 Q1
0 l 1 l 0 l
1 l 0 l 0 l
Q0

Salida = ¬Q1 . ¬Q0

Ahora veremos como conectar los ff's

Se realizá la tabla de estado actual a estado futuro (No coloque la tabla de exitacion de los JK, pero obviamente me ayude de ella para realizar esta tabla)

Estado Actual | Estado Futuro | J | K |
Q1 Q0 | Q1 Q0 | J1 J0 | K1 k0 l
0 0 | 0 1 | 0 1 | x x |
0 1 | 1 0 | 1 x | x 1 |
1 0 | 0 0 | x 0 | 1 x |


Sin mucho preambulo es obvio que K1 = 1 y K0 = 1

Por lo tanto solo nos queda hacer el mapa K para J1 y J0

Para J0
0 1 Q1
0 l 1 l 0 l
1 l x l x l
Q0

J0 = ¬Q1

Para J1
0 1 Q1
0 l 0 l x l
1 l 1 l x l
Q0

J1 = Q0

Luego lo que nos queda es realizar el esquema.. El cual lo dejo adjunto en el archivo borrame1.

Tambien les voy a dejar la simulación en Proteus. ;)

Nota 1: todo esta incluido en el RAR (Las dos imagenes y la simulacion).

Nota 2: Lo siento por los que no son partidarios de proteus, pero este es el simulador que uso yo. Nunca he probado otro :cry:.

Editado...........................................................................................................................

Tanto que me habia costado hacer mis Mapa k y esta mierda me recorto los espacios en blanco.. Bueno tengan un poco de imaginacion y tratan de entenderlos.
 

Adjuntos

  • Divisor de frecuencia entre 3.rar
    21.9 KB · Visitas: 29
Última edición:
y si le agregas otro flip flop a la salida te divide entre 3 tanto los pulsos altos como los bajos
 

Adjuntos

  • Divisor de frecuencia entre 3.jpg
    Divisor de frecuencia entre 3.jpg
    83.2 KB · Visitas: 25
Atrás
Arriba