Generar señal de clock

Muy buenas a todos, soy nuevo en el foro, el motivo de mi registro es que llevo mas de 2 semanas googleando para encontrar como implementar un generador de clock, es decir, (soy novato, pido excusas si no me explico del todo bien), lo que intento hacer, es un reloj de pulsera que muestre segundos minutos y horas, pero en binario , el diseño logico lo tengo terminado, he usado flip-flops JK conectados en T para usarlos de contador, y mostrar la señal con leds.

Mis dudas son:
-Como puedo generar una señal cuadrada de 1HZ con un cristal de cuarzo. Sin usar PICS,(mas que nada para aprender como funciona por dentro.
-Si lo tuviese que implementar con el CI555 y usando tecnologia SMD no quedara muy grande?.

Gracias de antemano, y salud para todos!
 
lo mas sencillo es comprar un reloj de pulso barato y obtener de ahi la señal de 1Hz.

usando el CI555 quedaria muy ajustado, ademas el 555 no sería tan preciso como el cristal
 
Creo que deberia mirar el LM567 que es un decodificador de tonos que en una configuración particular actua como generador de onda cuadrada, lo bueno es que esta si es una onda cuadrada simétrica no como la del 555, y este si es de precision, el diseño esta en las aplicaciones tipicas en la hoja de datos.
 
Realmente, esa opción ya la habia considerado, pero de todos modos alguna manera habra para generar una señal cuadrada de 1Hz sin usar ningun integrado no?
A parte de para este "invento" tambien me gustaria aprender como lo hacen para generar dicha señal, y como todo lo que se de electronica es autodidacta, no llego a saber diseñar cosas asi, y por eso me corroe la curiosidad.

Si no es posible, lo hare con alguno de los integrados que habeis mencionado, pero de todos modos llevo tiempo buscando por internet y no encuentro como se hace...

Estoy abierto a consejos, links, etc...

Gracias.
 
Pues la forma mas facil de generar una onda oscilante con pocos componentes es un oscilador rc.. pero necesitarias un par de componentes extras para poder generar una onda mas o menos bien definida... porque la del RC es una onda exponencial....la mejor opcio son osciladores de presicion .
 
He estado informaciónrmandome sobre el LM567 y entiendo como va, lo que en el datasheet existen tres dibujos, son tres implementaciones en diferente tamaño?, lo que no he conseguido es entender como van las unidades de medidas..., ni como hago para generar la frequencia que yo quiera, en este caso de 1hz. Si alguien me puede echar un cable porfavor..

Tambien he encontrado una información curiosa, un schmitt trigger conectado a una resistencia, y un condensador, realimentado, tambien genera una onda cuadrada..... no se si me explico, bueno la question es que tampoco no se modificar los valores de la resistencia y el condensador para conseguir la frequencia adequada, ni tampoco se como implementar el schmitt trigger ya que no se como funciona, demasiadas dudas....

Tan facil parece hacer el diseño logico, pero al momento de implementar un circuito se complica muchissimo!

Espero que al final lo consiga.

Estaré a la espera de vuestras respuestas. Y gracias por vuestra ayuda.
 
hola, bueno como sabemos todos los electronicos la frecuencia es igual f=1/T, y tambien sabemos que ohm*faradios=segundos. pues entonces si vos queres realizar un generador de ck de 1Hz, agarras un compuerta inversora smitth triger, entre la entrada y la salida pones un capacitor, y entre la entrada y masa pones una resistencia.

la formula seria 1/R*C=f.
 

Adjuntos

  • 4016_105.ewb
    9.6 KB · Visitas: 409
Hola ohtaron:
A todo aquel que pudiera estar interesado,es muy facil conseguir la señal de reloj de 1 hz. la frecuencia de la red y un divisor por 50. En relojes se consigue de la siguiente manera un crital de quarzo de 32768 hz/s. y un divisor de 15 pasos a la salida de este tenemos 1 Hz/s. Si necesitas más aclaración al respecto vuelve a consultar y te daremos una texis doctoral de como funciona un Reloj de Quarzo.
Un saludo.
 
lo ideal es utilizar el 4060, el 567 no es adecuado para estas aplicaciones

busca el datasheet el HEF4060 o mc4060 o cd4060 o 74hct4060

El circuito es un cmos de los baratos que se puede implementar como oscilador de cuarzo o RC y ademas lleva un divisor que puedes obtener hasta 4hz si quieres llegar a los 1hz deberás añadir otro divisor por ejemplo otro 4060 en serie o un 4040.

Lo bueno del caso es que te permite tener varias velocidades a tu disposicion para cosas tan utiles como para hacer pitidos, poner a la hora el circuito o trabajar con multiplexacion.

Ademas de bajo consumo como todos los cmos de la serie 4000
 
tiopepe123 dijo:
Lo bueno del caso es que te permite tener varias velocidades a tu disposicion para cosas tan utiles como para hacer pitidos, poner a la hora el circuito o trabajar con multiplexacion.

Hola! me encantaria que me pudieras ampliar un poco mas tu explicacion... si requiero 1Hz pongo dos 4060 en serie, tienen que ser iguales? una vez implementados en el circuito, como puedo hacer lo que comentas de hacer pitiditos, poner la hora en el circuito etc? o tendria que poner un par de 4060 para cada cosa que mencionas?

si puedieras poner un diagrama te lo super agradeceriaaaaaa!

Saludos =)
 
hola yo tambien tengo esa duda pero tengo la idea de usar el 555 para hacer la onda cuadrada pero como no se puede hacer simetrica me dijeron que se puede agragar un flipflop aparte para hacerla simetra alguien sabria como ?
 
ese es el ff D cierto? con ese me hace que la onda sea simetrica? sii loose pero por internet vi un diseno con el 555 a estable con onda simetrica y no me funciono entonces estaba buscando otro metodo, ando armando un reloj y ya lo tengo listo solo me falta implementar una onda cuadrada adecuada de 1 hz
 
Atrás
Arriba