Problemas de señal digital con Spartan 3E

Hola amigos,estoy haciendo un trabajito con la Starter Kit Board de Spartan 3E de Xilinx(FPGA),y despues de utilizar el convertidor analógico-digital(ADC) tengo una señal digital representada en 14 bits y necesito una señal que tenga unicamente dos niveles ,un nivel alto y un nivel bajo , para posteriormente poder meterlo en contadores y demas para calcular el periodo y la frecuencia de dicha señal.Espero vuestra respuesta,gracias.Os adjunto aqui unos archivos.
 

Adjuntos

  • xilinx_ug230_spartan_3e_starter_kit_board_user_guide_614.pdf
    74.2 KB · Visitas: 71
  • microsoft_word_viewer__seal_204.pdf
    12.2 KB · Visitas: 84
Que te parece si la convertís sin pasarla a un ADC? Es decir, un circuito que por cada señal te entregue un pulso,y después lo mandas a los contadores y demás.
 
Puedes hacer por ejemplo que la salida sea '1' cuando está en el semiciclo positivo y que sea '0' cuando está en el negativo:

if (tu_señal < 0) then
salida <= '0';
else
salida <= '1';
end if;
 
Gracias ferny ,pero ese codigo seria valido? quiero decir la VHDL trabaja en complemento a 2?o sea por ejemplo ,si mi señal es 00100011110011 en complemento a 2 diciendole
if (mi_señal<0) then
salida<='0';
else
salida<='1';
end if;
valdria tu codigo este o habria que ponerle los mismos digitos de la señal?
if (mi_señal<00000000000000) then........
ferny ,tu sabes este ADC como representa valores?quiero decir ,es q segun la formula esa que te he puesto yo en el documento ese,por ejemplo,si la señal en un tiempo determinado es de 0 voltios la salida de 14 bits cual seria ? a mi me da que la representacion binaria de 14 bits no seria 14 ceros ,no entiendo bien la conversion .Si entendeis me podriais explicar por favor ?es que tengo que hacer el trabajito para el martes .La clave en este momento para mi es entender bien eso.
Y Electrodan ,a ti tambien gracias,y como quiero barajar todas las pòsibilidades me podrias dar una explicacion mas detallada de lo q me has dicho?es que no entiendo muy bien lo que me quieres decir.El circuito de captura analógica tengo que utilizar obligatoriamente,y la ADC es parte de ese circuito
 
Es que eso debes mirarlo tú... Mira el esquemático de la tarjeta Spartan, en él te vendrá la referencia del ADC. Busca el datasheet de esa referencia y mira cómo funciona. Hay ADC que permiten configurarlos para entregar el resultado de la conversión en complemento a 2 o bien en binario con bit signo. Normalmente esta configuración se manda al ADC una única vez al arrancar o bien antes de iniciar cada conversión, depende ya de cada ADC. Es que en el pdf que dejaste no dice nada...

He manejado varios modelos de ADC desde VHDL y siempre los he configurado en complemento a 2 por comodidad, así el código que te puse sería válido. Incluso, si me permites, bastaría con que compares el bit más significativo, ya que éste te da el signo (0 si es positivo y 1 si es negativo).

Ah, otra cosa más, el bloque VHDL donde metas ese código debe usar ieee.std_logic_signed ya que si usas la unsigned los comparadores no te funcionarán con los valores negativos. Los comparadores trabajan en complemento a 2, de ahí que tengas que configurar el ADC para este formato.
 
Scofield espero y me puedas proporcionar algo de informacion sobre la Starter Kit Board de Spartan 3E de Xilinx ya que empesare a trabajar con ella este semestre y me interesa conseguir algunas practicas sencillas para ir aprendiendo a usarla, o con que me des el nombre del programa que estas usando para la targeta, espero tu respuesta gracias.
 
Atrás
Arriba