Curso para iniciarse con FPGAs

Hola muy buenas,

Soy seminuevo en este foro y en todo lo referente a FPGA's y programacion en vhdl y necesitaria un poquillo de ayuda referente a Xilinx.
Les cuento. Antes usaba el Xilinx 9.1 para programar en vhdl y simulaba gracias a un fichero test bench waveform. Me he instalado la version 12.1 y no me aparece como una opcion de fichero, con lo cual no puedo crearlo ni simular mi proyecto.

Tengo entendido que se puede crear un fichero llamado VHDL Testbench, donde mediante codigo puedes especificar los parametros de la simulacion. No se si lo tengo bien entendido, pero bueno, esta opcion no me gusta demasiado ya que prefiero poner mis señales de manera visual y senzilla con el test bench waveform tal como lo hacia antes.

Hay alguna manera de poder simular tal como lo hacia anteriormente con el Xilinx 12.1?

Les estaria muy agradecido por cualquier comentario de ayuda u opinion.

OtReM
 
Hola muy buenas,

Soy seminuevo en este foro y en todo lo referente a FPGA's y programacion en vhdl y necesitaria un poquillo de ayuda referente a Xilinx.
Les cuento. Antes usaba el Xilinx 9.1 para programar en vhdl y simulaba gracias a un fichero test bench waveform. Me he instalado la version 12.1 y no me aparece como una opcion de fichero, con lo cual no puedo crearlo ni simular mi proyecto.

Tengo entendido que se puede crear un fichero llamado VHDL Testbench, donde mediante codigo puedes especificar los parametros de la simulacion. No se si lo tengo bien entendido, pero bueno, esta opcion no me gusta demasiado ya que prefiero poner mis señales de manera visual y senzilla con el test bench waveform tal como lo hacia antes.

Hay alguna manera de poder simular tal como lo hacia anteriormente con el Xilinx 12.1?

Les estaria muy agradecido por cualquier comentario de ayuda u opinion.

OtReM
Te copio lo que te respondí en el otro tema que creaste y te enviaron a moderacion:

Si no entiendo mal(perdona pero soy muy nuevo con las fpga) lo que tendrias que usar es el soft Modelsim XE, en la pagina de xilinx esta como opción a descargar.


Saludos
 
ammmm, soy nuevo en esto, pero en si un fpga que es?, es como un microcontrolador?, se programa solo el chip en la tarjeta y se saca?, o es toda la tarjeta, no entiendo ?
 
ammmm, soy nuevo en esto, pero en si un fpga que es?, es como un microcontrolador?, se programa solo el chip en la tarjeta y se saca?, o es toda la tarjeta, no entiendo ?

es un chip tambien, pero no es como un microcontraldor, el el micro vos programas software, en las fpga programas hardaware, osea tiene montones de compuertas y lo que programas seria la interconexión de las mismas. Una de las ventajas es que el sistema desarrollado sera muy rápido, ya que podrá procesador datos paralelamente y no sigue un secuencia como un programa.
 
Disculpen mi ignorancia, para que se usa uno de estos???

O sea me imagino que vale la pena trabajar tanto para lograr un arreglo a nivel hardware, teniendo micros muy rapidos hoy en dia.

Si alguien me puede explicar, escomo la discucion de asembler y c, todabia no eh encontrado a nadie que me muestre una real ventaja.

Saludos
 
Algunas aplicaciones donde los podemos llegar a encontrar:

- Controladores de Buses avanzados (PCI, USB, AGP etc)
- Sistemas de adquisicion de datos de alta velocidad
- Controladores o cerebros electronicos para equipos muy especificos, como GPS, Radares, etc
- Controladores de datos para redes de alta velocidad (Ethernet, Fibra Optica, etc)
- Manejadores para pantallas de leds
- Expansores de puertos para micros


Sobretodo en investigacion y robotica se usan mucho para implementar algoritmos ultrarapidos que permiten preprocesar la informacion que llega de los sensores y asi bajarle la carga a los procesadores

En Microprocesadores se usan para probar los diseños de microprocesadores antes de fabricarlos

etc, etc, etc...
 
hola me estoy iniciando en esto de labview fpga,, y necesito hacer un generador de frec de 1MHZ, utilizando el metodo de DDS con labview,, Encontre este link http://zone.ni.com/devzone/cda/epd/p/id/6066 con unos ejemplos interesantes, pero son para una targeta diferente y labview 8.5. Yo tengo spartan3e kit satarter y el labview fpga 2009,, y no puedo hacer correr los ejemplos que figuran alli, seguramente por las diferencias que mencione,, Si alguien sabe como hacerlos correr en el spartan 3e o quizas los parametros que debo cambiar o considerar, estare agradecidoooo,, help meeeeee
 
Que herramientas de desarrollo se necesitan para iniciarse con fpga aparte de la tarjeta de desarrollo , por ejemplo esta tarjeta, Basys2 FPGA Board que la vende Digilent INC, se requiere algun circuito o cable especial para programar la fpga que contiene la tarjeta. Saludos y muchas gracias
 
Que tal a todos, estoy iniciando en el mundo de los FPGAs y me gustaria recibir algunos consejos para poder empezar a programarlos. Si pudieran ayudarme con algunas recomendaciones relacionadas con este tema se los agradeceria. ¿Que libros me recomiendan? , algunos tutoriales, cambiando de tema mi profesor de asignatura me dijo tambien que bajara de la red el ACTIVE HDL. ¿QUE TAN BUENO ES ESTE SIMULADOR?. SI PUDIERAN ORIENTARME SE LOS AGRADECERE.

GRACIAS.
 
bueno, aqui les dejo mi primer programa en VHDL espero que al aguien le sea de utilidad.

es un multiplexor


l
Código:
ibrary    ieee;
use ieee.std_logic_1164.all;

entity mux2_1 is
    port (
    
    i:in std_logic_vector(1 downto 0);
    selec:in std_logic;
    f:out std_logic );
    
end mux2_1;


architecture simple of mux2_1 is     --nombramos la arquitectura
begin
    process(selec) --variable en proceso
    begin
        case selec is --ponemos el selector como el caso de las posibles valores a tomar
            when'0'=> f<=i(0); --cuando el selecto es cero la salida es i(0)
            --when'1'=> f<=i(1); --cuando el selector es uno lasalida es i(1)
            when others=>f<='0';-- cuando no hay valor de seleccion la salida es cero
            
        end case;  -- terminamos el caso
        
        
        end process;  --terminamos el proceso



end simple;         --terminamos la arquitectura
 
Última edición por un moderador:
Hola a todos , soy nuevo en el foro y voy a empezar con esto de los FPGA, haciendole caso al amigo Ferny, he decidido comprar una tarjeta altera, aunque yo siempre habia querido comprar una spartan, me he pasado casi 4 dias leyendo todo lo que han hecho en este tiempo y mi pregunta para Ferny es, se puede desarrollar una tesis o proyecto integrador final de Maestria, con un sistema de control en FPGA? pregunto esto porqeu a pesar de que falta un año para terminar mi maestria, esto delos FPGA siempre me ha llamado la atencion y se que seria algo muy bueno poder desarrollarlo
 
:aplauso::aplauso: GRACIAS! por postear algo de este tema por que la verdad habia leido algo pero nada en concreto para analizar mas a fondo.

una pregunta es necesario programarlos en VHDL o hay otros lenguajes y algun compilador que conozcan para empezar a probar? :confused::apreton:
 
También admiten lenguaje Verilog.

Compiladores, cada fabricante tiene el suyo, tienes el Quartus II para Altera y el Xilinx ISE para Xilinx.
 
Como tarjeta de evaluación es de gama media o media-baja, pero al precio que tiene es muy buena opción para empezar a aprender, a pesar de ser de Xilinx :D (ya he dicho más de una vez que el entorno de Altera me parece mucho más amigable para empezar jeje).
 
Si, entiendo perfectamente tu punto, pero no podemos negar que por ejemplo una basys2 a 49 dolares contra una Cyclone II a 125 dolares( eso si el Consejo Academico de Altera te autoriza vendertela a ese precio, yo he mandado 3 correos con monton de datos para que me aprueben y es fecha que no he recibido respuesta:unsure:) o a 199 precio normal, es un mundo de diferencia en dinero, y no olvidemos que todavia no estoy incluyendo el costo de envio, para cuanto les gusta el envio? 30 dolares por UPS?:cry: aparte de que en Mexico la gran mayoria sino es que todos los libros de VHDL hacen referencia inmediata a Xilinx y las Spartan, y los cursos(rarisimos) que se llegan a impartir se van sobre Xilinx, nada menos en Diciembre vienen los de Xilinx a dar curso sobre Spartan 6, buscate LABVIEW FPGA en youtube y los primeros 20 resultados que te brincan son sobre Spartan y Virtex ambos de la misma casa, eso si, Cyclone II trae montones de cosas que no traen las otras, que es lo que pasa entonces? falta de difusion de los productos de Altera? o la gente simplemente porque los demas hacen algo ahi van y hacen lo mismo y no prueban otras cosas distintas?:confused: Por mi parte sigo esperando la respuesta del Consejo Academico de Altera aver si me quieren vender la tarjeta a 125 sino a ver que hare..........desprenderme de 199 dolarucos.. jeje:rolleyes:
 
Pues al final de cuentas nunca me respondio la gente de Altera, y mi chica al ver mi decepcion decidio darme una sopresa de cumpleaños, me mando traer una tarjeta Basys2, y he estado leyendo acerca de ella, lo malo ya baje el ISE webpack 12.3 , el Adept y demas cosas, copie un peqeuño codigo de un comparador , y zas me dice que hay un problema con el reloj, o sea que los tiempos no estan declarados, un tutorial que me lei dice que en cierto momento sale un wizard para los tiempos, el caso es que este ISE no trae ese wizard, en donde se declaran los tiempos del reloj en la programacion?? debo aclarar que esta version de ISE aparte que trae un monton de cosas, por lo que he leido quito algunas, como el wizard que les mencionaba......
 
Atrás
Arriba