Curso para iniciarse con FPGAs

No lo he usado nunca, pero al ser una placa bastante conocida es posible que buscando encuentres alguna aplicación donde se use el ADC. También es posible que con la documentación de la tarjeta te vengan archivos de ejemplo.

men que pena molestar tanto, la verdad es que en estos instantes estoy haciendo un DAC para empezar a realizar poco el proyecto de fin de semestre, estábamos recreando un generador de señales, como la seno, la coseno, la cuadrada, y la exponencial. el diseño en la fpga que nos prestamos bien, funciona, pero a la hora de trabajar esto con el DAc 0808 no me mostro nada en el osciloscopio, me base en u circuito que encontré en Internet, asi que le pido el favor de un circuito que funcione para este tipo de idea que quiero realizar y que utilice un dac le agradeceria
 
Saludos a todos,

Me encuentro buscando información o ejemplos concretos sobre la utilización del puerto VGA para visualizar imágenes en la FPGA cyclone II de Altera, utilizando Quartus II, NIOS II o SOPC Builder, les agradeciera cualquier colaboración que me puedan prestar en este tema.
 
men que pena molestar tanto, la verdad es que en estos instantes estoy haciendo un DAC para empezar a realizar poco el proyecto de fin de semestre, estábamos recreando un generador de señales, como la seno, la coseno, la cuadrada, y la exponencial. el diseño en la fpga que nos prestamos bien, funciona, pero a la hora de trabajar esto con el DAc 0808 no me mostro nada en el osciloscopio, me base en u circuito que encontré en Internet, asi que le pido el favor de un circuito que funcione para este tipo de idea que quiero realizar y que utilice un dac le agradeceria
Hola, has simulado el circuito y comprobado las señales? Revisa bien el circuito y cuidado con los circuitos que encontramos en la red. Un saludo

Hola, tengo una placa Spartan 3E 1600, estoy haciendo un filtro de audio FIR con el metodo MAC (multiplicaciones y sumas), el problema viene del numero de bit, la muestras tienen 14 bit y los coeficicentes los codifico con otros 14 bit, esto hacen 27 bit con signo al multiplicar. Utilizo filtros de orden 14, con lo que tengo 14 sumas y añadir un bit en cada suma, esto hacen 41 bit. La salida la hago a través de un conversor D/A de 12 bit por lo que desprecio muchos bit con la consiguiente perdida de precision (salen escalones en la señal reconstruida). El dilema, es mejor hacer filtros de menor orden y ponerlos en cascada? o desprecio algunos bit mas significativos que seguro que son CEROS??
Un saludo y gracias
 
Última edición:
Hola, tengo una placa Spartan 3E 1600, estoy haciendo un filtro de audio FIR con el metodo MAC (multiplicaciones y sumas), el problema viene del numero de bit, la muestras tienen 14 bit y los coeficicentes los codifico con otros 14 bit, esto hacen 27 bit con signo al multiplicar. Utilizo filtros de orden 14, con lo que tengo 14 sumas y añadir un bit en cada suma, esto hacen 41 bit. La salida la hago a través de un conversor D/A de 12 bit por lo que desprecio muchos bit con la consiguiente perdida de precision (salen escalones en la señal reconstruida). El dilema, es mejor hacer filtros de menor orden y ponerlos en cascada? o desprecio algunos bit mas significativos que seguro que son CEROS??
Un saludo y gracias

Es mejor que desprecies bits por arriba, que generalmente serán cero, y que satures la salida en caso de que el valor sea mayor.

Si por ejemplo tienes 41 bits, prueba despreciando 8 bits, y en caso de que el valor supere los 41-8=33 bits, saturas la salida del filtro a 2^33-1 (en hexadecimal, todos los bits a uno o sea 0x1FFFFFFFF)

Suerte
 
ayuda fpgas, GAL, programar simular....
hola hace uns dias un profe me pidio le ayudara a entender como programar una gal la GAL18V10B, 20LP, B626J05,, lo unico que me proporciono el inge es el libro "VHDL arte de programar Sistemas Digitales Maxinez , Alcala", que te explica mas o menos como esta la jugada, y hasta donde llevo leido creo entenderle, el problema viene a la hora de programar el codigo y tratar de simularlo sobretodo, como te menciono hasta hace unos dos dias en mi vida habia agarrado algo de esto (solo conocia los micros y sus softwares programadores/simuladores), el inge en cuestion me dio el warp 6.1, con el que programo, o intento programar, pero segun veo luego se me amensa feo el software, ya que me pone error en programas y codigo que en teoria esta bien (tambien he checado que algunos ejemplos del libro vienen con errores, o eso creo, al meterlos y compilarlos en el soft), y que en ocaciones codigo que ya he compilado, y me ha dicho que esta bien, al meterlo de nuevo me marca errores al compilarlo, otra dificultad es que no aparece el modelo de mi gal la GAL 18V10B, dentro de los modelos que te da para escoger, sabras como hacer para que aparesca como se agregaria o con cual software podria programar para este GAL????, otra bronca es que no he podido lograr simular nada de lo que he logrado compilar sin errores, me marca cosas como "unknown automation function called", design does not contain verilog source files verilog simulation cannot be started....., segun investigue para que no de broncas el programa .vhd que debes de abrir para programar es qel que se genera en el directorio del proyecto no?, y que debe de ser compilado en el galaxy con las opciones de timming model formato 1164/VHDL, y activado el enable testbench output, cosa que si hago, pero me tira ese error en el active vhdl ver 3.3 que se instalo junto con el warp release 6.1, te agradeceria bastante me ayudaras, y gracias por leer este extenso post espero me hayas entendido, y puedas ayudarme , bye

:)
 
Hola a todos, actualmente estoy aprendiendo a trabajar con FPGA mediante la herramienta Xilinx 12.1, tengo en mi poder un Spartan 3E. Sucede que actualmente me encuentro enfrascado en empotrar un sistema operativo petalinux (v0.4) en el Spartan para hacer uso del Stack tcp/ip que tiene incluido. Pero sucede que no entiendo bien como hacerlo. Necesito si alguien ya ha logrado realizar este objetivo, que me de, si es posible, al menos una guia mínima para lograr el sistema operativo en el fpga.

gracias y saludos
yordan
 
posiblemente sonara obvio, pero el spartan ya tiene compilado algun CPU?? y si es asi esta soportado en la distribucion de linux que le quieres colocar?
 
Un CPLD o un FPGA solo contienen logica digital sin conectar, y para usarlos necesitas programarles algo... en tu caso necesitas programarles algun procesador, para despues instalar el petalinux
 
La spartan 3E 1600 es más completa que la nexys 1200, tiene una FPGA más grande (1600 kpuertas frente a 1200 kpuertas), el doble de RAM (32MB frente a 16MB) que es muy útil si vas a implementar un microprocesador para tu aplicación, también tiene más periféricos (ethernet, LCD...)

Para una aplicación de audio, piensa cómo vas a "meter el audio" en la FPGA. La 1600 tiene un convertidor ADC, que te puede resultar muy útil para esto. La 1200 creo que no.

una pregunta sabe como puedo calcular los coeficientes de un filtro digital sin utilizar algún tipo de software, y si tiene tiene información acerca de como realizar el código en vhdl le agradeceria,.,.,.
 
Hola a toda la comunidad. Estoy comenzando con esto de los fpga, quisiera saber si alguien sabe donde pueda comprar un FPGA en México de antemano muchas gracias………
saludos
 
Hola a toda la comunidad. Estoy comenzando con esto de los fpga, quisiera saber si alguien sabe donde pueda comprar un FPGA en México de antemano muchas gracias………
saludos

pidela directamente de digilent ,...
escoje la que quieras y se acomode a sus necesidades

http://www.digilentinc.com/Products/Catalog.cfm?NavPath=2,400&Cat=10&FPGA

No lo he usado nunca, pero al ser una placa bastante conocida es posible que buscando encuentres alguna aplicación donde se use el ADC. También es posible que con la documentación de la tarjeta te vengan archivos de ejemplo.

ola ferney nuevamente por aquí llenándolo de interrogantes,

1_) primero gracias por los concejos en preguntas pasadas que me llevaron a sacar un proyecto de reconocimiento de voz con 4 comandos elaborado y llevado a cabo totalmente en la fpga.

2_) ahora mi pregunta y es acerca de la spartan 3e 1600 ya que estuve viendo el manual de la misma y me he encontrado que contiene un microprocesador, una memoria sram, un cpld todos allí... me gustaría saber si sabe como programar ese microprocesador y la sram ya que las necesitaria pera llevar a que mi proyecto sea de mejor calidad y mas exacto a la hora de hacer el analisis de los comandos, ya que lo unico que se es que todos se conectan a la fpga,


como siempre gracias por su valioso tiempo.,,,.,.
 
Última edición:
Hola

El micro no está físicamente en la placa, sino que se refiere a que dentro de la FPGA puedes implementar el Microblaze, que es el micro de Xilinx. Para ello y para usar la RAM que tienes fuera (que sí está físicamente), utiliza el Xilinx EDK, es un constructor de "sistemas", en él especificas todo lo que va a tener tu sistema: un procesador Microblaze conectado a una RAM, salidas y entradas digitales, etc... tiene muchos módulos ya hechos a tu disposición. Además te proporciona una interfaz para programar lo que el micro va a ejecutar, en lenguaje C / C++.

Lo bueno es que te hace casi todo él solo, por ejemplo para usar la SRAM simplemente le tienes que indicar qué SRAM es (la referencia del chip), y él ya tiene el driver incorporado y se encarga de "unirlo" al procesador. Te recomiendo que pruebes con los ejemplos que traiga el EDK, que los trae también para tu placa de evaluación, y hagas ejemplos sencillos como encender un led a través del software del micro, así te vas haciendo a la idea de cómo funciona.

Un saludo
 
Atrás
Arriba