Curso para iniciarse con FPGAs

Si de hecho ese el que utilizo, solo que me entro la curiosidad respecto al oscilador UCLK (externo) y principalmente al oscilador RCCLK, del cual no he encontrado mucha información, por ello mi pregunta anterior, aun así te agradezco por responder pronto.
 
Hola como estan todos? yo soy nuevo aca! y mi pregunta es la siguiente quiero iniciarme en el mundo de las FPGA asi que me intereso mucho estos comentarios, ahora bien mi pregunta es que los primeros links de los libros ya no sirven y era para ver si alguien los tenia o si me recomendaban algun libro en especial para asi entender mejor la programacion! GRacias por sus respuestas!!
 
Yo no he usado ningún oscilador externo, pero el manual de referencia de la Basys 2 indica que se puede usar cualquier oscilador. Si la aplicación requiere un oscilador con una estabilidad mayor que el que trae la tarjeta, conviene que sea uno de cristal de cuarzo. En el manual dan un ejemplo de oscilador SG-8002JF-PCC. Aunque parece que éste ya no lo tienen, y podría valer cualquier otro que tuviese 4 pines, que se pueda meter en un zócal DIP y tuviese similares características.
Por ejemplo, he visto en otros proyectos que han usado este: ACHL-25.000MHZ-EK.

Para los libros, quizá te puedan valer estos dos:
Diseño de circuitos digitales con VHDL
Diseño de sistemas digitales con VHDL

Saludos
 
Puedes verificar en este link algunos libros que recomiendan:
http://www.linkedin.com/groups/Libros-sobre-FPGA-VHDL-3742759.S.43337213

Yo te recomiendo estos libros:

FPGA Prototyping by VHDL Examples:Xilinx Spartan-3 Version, By: Pong P. Chu
VHDL el arte de programar sistemas digitales. Jessica Alcala Jara & David G. Maxinez.
Digital design, Morris Mano M, 2007,4ª edición. Pearson Prentice Hall.
Circuit Design with VHDL. Volnei A. Pedroni. MIT Press.

Saludos :)
 
hola soy mu nuevo en esto de fpgas y vhdl, pero mas o menos tengo una idea de que es una programación a un dispositivo con muchas compuertas en su interior.
mi duda es que dispositivos puedo adquirir para programarlos, he estado buscando en la pagina de internet de la tienda de electrónica de mi ciudad y no encuentro nada acerca de fpgas o vhdl.
le dejo el link de la pagina.
http://www.carrodelectronica.com/store/
 
Los dos principales proveedores de FPGA son Altera y Xilinx, busca en sus paginas, tenes kits muy buenos incluso por precios de 50 a 100 dolares.
 
A mi personalmente me gusta Altera, que yo sepa envian a todo el mundo pero te doy un consejo, busca si tienen un distribuidor en tu pais que quiza tenga tarjetas en stock y podes ver en vivo de que se trata.

Cualquier tarjeta economica que te compres con un Cyclone te va a servir, yo te aconsejo III o IV, del tipo que ya vienen con soporte para NIos que es un procesador que Altera utiliza. En estos dias Altera esta haciendo la transicion a ARM Cortex A9 en los Cyclone V pero esos vas a tener que esperar, me parece, bastante hasta que esten disponibles. Me parece que para empezar para la parte de procesador el Nios esta bien, y obviamente tenes toda la parte de sintesis de HW con el FPGA. Entre procesador y logica programada podes hacer cosas muy interesantes, creeme que agotar realmente el potencial de esas tarjetitas te va a llevr un monton, no hay necesidad de comprar nada mas caro.

Es tambien cuestion de gusto personal, cuando yo me compre la mia podia elegir entre una con LCD y muchos pulsadores u otra con Ethernet y DDR y escogi esta ultima para hacer experiencias con conexiones a memorias rapidas. Volvi a mirar los precios y en el sitio de Altera estan entre 80 y 150 dolares.

De nuevo te recomiendo verificar en forma local para evitar dolores de cabeza de envio, impuestos aduaneros y etc. y ver en persona lo que compras siempre es preferible.

Suerte

Agrego como nota que el Cyclone V dev. kit teoricamente ya se puede encargar pero vale 1600 dolares y si te fijas tienen cero en stock, no me extrañaria que aunque tuvieras y quisieras gastar la plata en el, les lleve unos cuantos meses proveerlo.
 
Última edición:
Saludos a todos!...

Una duda, Altera, al ofrecer sus tarjetas de desarrollo FPGA, dice, por ejemplo 20,000 LEs... Xilinx por su parte dice 1200K gates...

¿Eso que significa? ¿Una LEs es igual a 1 gate, son lo mismo? ¿O cuál es su diferencia?...

Gracias...
 
Por lo que yo se, Altera define sus elementos logicos como LEs, y Xilinx como LCs.

Son parecidos, pero varian de familia a familia. Un LE consta de una tabla look-up de varias entradas para implementar funciones logicas, y de uno o varios flipflops. Un LC, es lo mismo.

Gate count es un concepto del mundo de ASICs y en general no se aplica a FPGAs.

El tema se complica mas por la gran cantidad de bloques especializados que tiene un FPGA de estos dias, como ser bloques de memoria, SERDES, bloques DSP (MAC), procesadores y un muy amplio etcetera.

De todos modos ambos fabricantes suelen publicar tablas comparativas entre dispositivos...

Te diria que no te compliques mucho con esto si es que recien empezas, elegi el que te parezca mas comodo para trabajar y dale para adelante.
 
Hola amigos, como el familiar inscrito en la universidad este año acaba su carrera, pienso aprovechar el comprarme a precios para estudiantes un placa para aprender la programación en VHDL. En mis circuitos me bastarán CPLDs, pero ya Xilinx noi ofrece el único kit que teníam, el "CoolRunner II Starter Kit". Pienso adquirir el "Nexys™4 Artix-7 FPGA Board" de Digilent por solo 159.- USDs, lo que gracias a Putin y Obama actualmente son unos 110.- Euros. Como me toca ahorrar espero comprarla en Abril/mayo de este año.

Tengo una pregunta sobre la programación de los FPGAs de Xilinx. Hace ya bastantes años, cuando espereaba avanzar mas rápido con el modelo de mi velero radio controlado para el cual quiero hacer una interfaz intelligente, me conseguí el "Platform Cable USB II". Componente que conecta el PC con una placa con un interfaz definido para programar componentes de Xilinx. esto aún se requiere y es apoyado por las IDEs actuales, entre otras Vivado y Webpack? No encontré ya referencia alguna!
 
Si no me equivoco la tarjeta que vas a comprar no necesita cable programador, el adaptador de USB a JTAG esta "built in" como ya es costumbre en las placas de desarrollo de FPGAs ultimamente.
 
Gracias por responder chclau. Efectivamente así es. Pero como esas placas solo son para aprender, así que mas Tarde se puede aplicar/usar lo aprendido e integrar un FPGA en un circuito propio, entonces ese circuito necesita ser accesible por JTAG y allí es donde entra el asunto de mi pregunta, en mi caso el cable HW-USB-II-G y su aplicabilidad.
 
OK entiendo la pregunta. Personalmente lo ultimo que use de Xilinx fue el ISE hace ya unos 5 años... desde ese entonces estoy con Altera. Entiendo que ese Vivado es una plataforma nueva... pero te diria que no creo que tengas problemas con el cable por el cambio a la nueva plataforma.

Los conectores de JTAG no cambian casi nada, en Altera todavia podrias usar teoricamente el Byte Blaster por puerto paralelo sin problemas (salvo la velocidad lenta). Puede ser que salgan cables mas rapidos pero apostaria a que el tuyo anda sin problemas (de ultima creo que lo podes comprobar vos mismo una vez que tengas la tarjeta en tu poder).
 
Buenas

¿Que tipos de tarjetas de FPGA tienen hardware abierto?

¿Como puedo programar el chip FPGA en un diseño de PCB propio?

¿Como usar el plataform cable usb II para programar la FPGA y la SPI?

Gracias por su atención.
 
Hola,
Viendo que casi todos usan FPGA's, que clase de proyectos escolares necesitan tanta lógica?, Yo creo que para aprender el CPLA de altera ATF750c es más que suficiente para trabajos de escuela, 20 Flip-flops en 10 macro-celulas y por solo $4 us en digi-key. No necesita un programador o tablilla especial, ya que cualquier programador universal de memorias lo puede programar (En la gran mayoria de las escuelas, el lab tiene al menos uno). El software es gratis y facil de aprender ... WinCupl. Asi que puedes crear tu proyecto, simularlo y hacer documentación sin necesidad de tener el chip físico.
Creo que es una buena alternativa para empesar a aprender y solo te cuesta ...tu tiempo.
Ademas una vez que aprendes Wincupl ... de pilón puedes programar cualquier PAL o GAL para proyectos más pequeños ... hasta 10 estados con el GAL22V10.
 
Atrás
Arriba